Fill out as many options as you want. Click "Run Search Filter" at any time.

Technology / Process:

Materials Processed (Deposit or Substrate):

Wafer / Part Throughput:

Parts per Hour

Cycle / Pumpdown Time:

Maximum Part Diameter / Width:

Maximum Batch Size:

Continuous Speed:

Help with Semiconductor Cluster Tools specifications:

Technology / Process
           
   Your choices are...         
   Chemical Vapor Deposition (CVD)       In chemical vapor deposition (CVD) processes, a precursor gas or mixture of gases is fed into a chamber and reacted with an energy source (usually heat) to form thin film coatings. CVD processes are useful in the deposition of dielectric films such as oxides and nitrides.  The energy or heat source may be a plasma, substrate induction, or resistance heater. 
   Physical Vapor Deposition (PVD)       Physical vapor deposition processes form thin film layers through evaporation or sputtering (glow discharge processes) of atoms from a source and then condensing or depositing the material onto the surface, substrate, wafer or part. 
   Ion Implantation       In an ion implantation process, a highly accelerated beam of charged atoms (ions) is directed at a surface resulting in the capture of some of these atoms in the surface of the substrate or wafer.  In microelectronics, ion implantation is used to dopant silicon with boron (B), phosphorus (P), arsenic (As) or antimony (Sb).  A subsequent annealing treatment or thermal cycle is required to drive the implanted ions into the surface.  Ion implantation can also be used to form wear resistance layer 
   Liquid Phase / Electrochemical Deposition (ECD)       Thin film processes use a liquid phase such as electrochemical deposition, liquid phase epitaxy, electroless plating and electroplating and electrophoretic deposition. 
   Plasma Etching / Cleaning       Plasma ashing, plasma cleaning, sputter etching, sputter precleaning or ion milling are processes that use plasma to remove layers of material from a substrate or wafer for cleaning purposes.  A clean, contamination-free surface is required for subsequent thin film deposition or wet processing. 
   Rapid Thermal Processing (RTP)       In rapid thermal processing (RTP), silicon wafers are rapidly oxidized to form a silicon dioxide dielectric layer by a brief exposure to high temperature steam. 
   Vacuum Annealing       Extended thermal processing using a longer cycle or process time for oxidation, wafer annealing, head magnetic annealing or driving dopants into a substrate. 
   Combined / Multiple Processes       Thin film equipment having the capability of running substrates or wafers through several processes simultaneously or sequentially. 
   Other       Other unlisted, specialized, or proprietary process type. 
   Search Logic:      All products with ANY of the selected attributes will be returned as matches. Leaving all boxes unchecked will not limit the search criteria for this question; products with all attribute options will be returned as matches.
   PVD Processes       
   Your choices are...         
   Evaporation - Electron Beam       A PVD process using an electron beam to vaporize the material to be deposited on the substrate. 
   Evaporation - Induction       A PVD process using induction heating to vaporize the material to be deposited on the substrate. Material in a crucible surrounded by an induction heating coils is heated by inducing a current in the material. 
   Evaporation - Laser / Plasma       A PVD process using a laser beam or plasma source to vaporize the material to be deposited on the substrate. Evaporation by a laser source is sometimes called Physical Laser Ablation (PLD).  Evaporation by a plasma source is referred to as Ion Plating. 
   Evaporation - MBE / Epitaxial       Molecular beam epitaxy uses the evaporation of sources under ultra-high vacuum (UHV) conditions to form epitaxial deposit. 
   Evaporation - Resistance       A PVD process using resistance heating to vaporize the material to be deposited on the substrate. Passing current through the tungsten filament or element heats material on a tungsten filament or in a crucible surrounded by the resistance-heating element. 
   Sputtering - DC       A PVD process using plasma (glow-discharge) to ionize or knock (sputter) atoms off a target (source material) and deposit the positively charged ions on a substrate or wafer.  The DC power source results in metal target with a negative bias and a substrate with a positive bias causing unidirectional plasma current from the wafer to the target. 
   Sputtering - DC Pulsed       Pulsed sputtering is a DC sputtering process where the power source is pulsed. 
   Sputtering - Magnetron       In DC or RF Magnetron sputtering, powerful magnets placed behind the target and sometimes around the plasma field provide several enhancements to the sputtering process such as trapping electrons that would cause ancillary heating of the chamber, forming a stable plasma discharge at lower pressures, directing additional ions at the substrate and confining the plasma away from the wafer or substrate. 
   Sputtering - Ion Beam       Ion beam sputtering utilizes an ion beam to liberate and ionize atoms from the target material, which are then deposited on the substrate.  The beam, target material and substrate are not electrically coupled as in the conventional DC and RF sputtering processes.   
   Sputtering - RF       A PVD process using plasma (glow-discharge) to ionize or knock (sputter) atoms off a target (source material) and deposit the positively charged ions on a substrate or wafer.  The high frequency, alternating current (AC) or radio frequency (RF) power source can provide a forward (positive) or reverse (negative) bias.  In DC sputtering, only positive sputtering occurs.  The negative sputtering or is useful for sputtering cleaning or ion milling of the substrate prior to deposition. 
   IBAD (Ion Beam)       Ion beam assisted deposition (IBAD) is a PVD deposition that uses an ion beam directed at vaporized atoms or ions to deposit material.  The beam, source material and substrate are not electrically coupled as in the sputtering process.  Ions (Ar, N, O) are produced in a separate chamber and then directed at atoms of the coating material are liberated by evaporation, sputtering, or another process. The ion beam ionizes or boosts the energy level of some of the coating atoms, which are then deposited on the substrate. 
   Other       Other unlisted, specialized, or proprietary PVD processes. 
   Search Logic:      Products with the selected attribute will be returned as matches. Leaving or selecting "No Preference" will not limit the search criteria for this question; products with all attribute options will be returned as matches.
   CVD Processes       
   Your choices are...         
   APCVD (Atmospheric Pressure)       Chemical vapor deposition process running under atmospheric pressures. 
   LPCVD (Low Pressure)       Chemical vapor deposition process running under low or sub-atmospheric pressures. 
   MOCVD (Metal Organic)       Metal organic chemical vapor deposition process has the capability to deposit epitaxial films. 
   PECVD (Plasma Enhanced)       Chemical vapor deposition process where reactions are enhance through the development of a plasma energy source. 
   PHCVD (Photon / Laser Enhanced)       Chemical vapor deposition process where reactions are enhance through the photon or laser energy source. 
   Other       Other unlisted, specialized, or proprietary CVD processes. 
   Search Logic:      Products with the selected attribute will be returned as matches. Leaving or selecting "No Preference" will not limit the search criteria for this question; products with all attribute options will be returned as matches.
Back to Top
Applications & Materials Processed
   Materials Processed (Deposit or Substrate):       
   Your choices are...         
   Metal       Systems that can deposit metal films. 
   Dielectric / Ceramic       Systems used for the deposition of dielectric or insulating layers of oxides, silicides or nitrides as well as other inorganic compounds, glasses or other ceramics. 
   Aluminum       Systems for deposition of aluminum for microelectronic conductors or interconnects, reflectors or other commercial applications. 
   Silicon       Systems for deposition of epitaxial, amorphous or polycrystalline silicon. 
   Compound Semiconductors / GaAs       Common compound semiconductors include gallium arsenide, aluminum gallium arsenide and indium gallium phosphide. 
   Diamond-like Carbon (DLC)       Systems capable of producing deposits of carbon with hardness or other properties approaching those of diamond. 
   Dopants       Ion implanters or other system used to deposit, implant or drive in dopants such as boron (B), phosphorus (P), arsenic (As), gallium (Ga) or antimony (Sb).  
   Germanium       Systems capable of depositing germanium. 
   Nitrides / TiN       CVD or other systems capable of depositing nitrides such as titanium nitride or silicon nitride. 
   Oxides       Systems capable of depositing oxides such as silicon dioxide. 
   Polymer / Organic       Organic or polymer films can be deposited from precursor chemicals. Plasma enhanced chemical vapor deposition is often used in depositing polymer films. 
   Silicides       Systems capable of depositing silicides. 
   Tungsten / Refractory Metal       Systems capable of depositing tungsten or other refractory metals for microelectronic conductors or interconnects or other commercial applications. 
   Other       Other unlisted, specialized, or proprietary material. 
   Search Logic:      All products with ANY of the selected attributes will be returned as matches. Leaving all boxes unchecked will not limit the search criteria for this question; products with all attribute options will be returned as matches.
Back to Top
Specifications & Features
   Wafer / Part Throughput:       The processing rate in parts or wafers per unit time such as parts/hour. The minimum and maximum part throughput may vary with wafer or part size. 
   Search Logic:      User may specify either, both, or neither of the "At Least" and "No More Than" values. Products returned as matches will meet all specified criteria.
   Cycle / Pumpdown Time:       Time required to pump down the system to vacuum levels suitable for processing. 
   Search Logic:      User may specify either, both, or neither of the "At Least" and "No More Than" values. Products returned as matches will meet all specified criteria.
   Maximum Part Diameter / Width:       Maximum wafer or part diameter that can be processed in through the thin film system.  On continuous systems the maximum web width or wire diameter. 
   Search Logic:      All matching products will have a value greater than or equal to the specified value.
   Maximum Batch Size:       Number of parts, samples or wafers that can be processed simultaneously in one run.  The minimum and maximum number parts processed will vary with wafer or part size. 
   Search Logic:      All matching products will have a value greater than or equal to the specified value.
   Continuous Speed:       Speed applies to systems for coating continuous wire, webs or optical fiber.   Usually given in meters/second or ft/sec. 
   Search Logic:      User may specify either, both, or neither of the "At Least" and "No More Than" values. Products returned as matches will meet all specified criteria.
   Vacuum / Pressure Range:       
   Your choices are...         
   Rough / Low (< 760, > 1 torr)         
   Medium (< 1, >10-3 torr)         
   High Vacuum (< 10-3, >10-8 torr)         
   Ultra-high Vacuum (< 10-8 torr)         
   Elevated Pressures (> 760 torr)         
   Other         
   Search Logic:      Products with the selected attribute will be returned as matches. Leaving or selecting "No Preference" will not limit the search criteria for this question; products with all attribute options will be returned as matches.
   High Vacuum Pump Type:       
   Your choices are...         
   Turbomolecular       Turbomolecular pumps utilize a series of high-speed rotors (25,000 to 75,000 rpm) and flow stabilizing, stationary stators to impart a preferential motion to gas molecules and create molecular flow through the pump.  They are often described as "molecular bats."  They are also known as axial flow turbines. 
   Molecular Drag       Molecular drag pump are similar to turbomolecular pumps except a rotor drum with a ridged surface and cylindrical stator are utilized in place of stator and rotor blades to impart a preferential motion to gas molecules and create molecular flow through the pump.  Hybrid pumps are available that use combinations of blades and ridged drums. 
   Diffusion / Vapor       Diffusion pumps utilize jets of diffusion oil vapor to impart momentum and sweep gas molecules from the system. Diffusion pumps have no moving parts and provide the highest pumping speed for lighter gases like helium and hydrogen. Diffusion pump oil can wander or backstream into the system causing process contamination. 
   Ion       Ion pumps utilize a sputtering process to ionize then entrain gas molecules and embed them into the anode or cathode wall.  The entrainment process can utilize a getter such as titanium to bind and bury the molecules.  They can operate in the ultra high vacuum range and eliminate contamination by organic molecules. 
   Cryogenic / Cryosorption       Cryogenic pumps utilize extremely cold (liquid N2 and He temperatures) surfaces and absorption surfaces freeze or trap molecules. Cryogenic pumps can operate with relatively high fore or exhaust pressures. Cryogenic pumps must be periodically generated to purge the frozen or trapped gases. Cryosorption pumps evacuate gas molecules from a volume by adsorbing them on the chilled surface of a molecular sieve. These molecular sieves are designed to have a large surface area-to-volume ratio to maximize the adsorbing area. 
   Getter / TSP / NEG Sorption       Getter or titanium sublimation pumps (TSP) entrain gas molecules in a getter, or material that is vaporized in order to absorb or capture the molecules, and embed them on the cold outer wall of the chamber.  These may also use "non-evaporating Gettering sorption" techniques by utilizing a large-surface-area porous matrix for entrainment. 
   Other       Any unlisted, specialized, or proprietary high vacuum pump. 
   Search Logic:      Products with the selected attribute will be returned as matches. Leaving or selecting "No Preference" will not limit the search criteria for this question; products with all attribute options will be returned as matches.
   Features       
   Your choices are...         
   Integral Process Controller?       Integral or optional system to monitor or control process parameters such as temperature, power or deposition rate. 
   Metrology / Film Monitor?       Examples of metrology include units or chambers for surface analysis and in-situ process sensors such as a quartz sensor for film thickness monitor. 
   Automated Loading?       System has the capable to automatically load samples into the vacuum system or chamber for processing.  System capable of coating continuous products such as fiber, wire, or webs would also fit into this category. 
   Multiple Sources?       Coating system that can deposit multiple materials sources either simultaneously or sequentially within the run cycle.  The systems may have a turnstile containing several different sources or materials. 
   Gas Control Unit?       Integral or optional system to monitor or control gas flows, compositions and pressures. 
   Search Logic:      All products with ANY of the selected attributes will be returned as matches. Leaving all boxes unchecked will not limit the search criteria for this question; products with all attribute options will be returned as matches.
Back to Top
Product Announcements